usb_core_exit() finished usbtest: init usb_core_init() finished usbaudio: iso out ep is 0x2, in ep is 0x82 control start: t=0x80 r=0x6 v=0x100 i=0x0 l=0x40 usb_core: GET_DESC 1 usb_core: DEVICE usbaudio: iso out ep is 0x2, in ep is 0x82 control start: t=0x0 r=0x5 v=0x2 i=0x0 l=0x0 usb_core: SET_ADR 2 control start: t=0x80 r=0x6 v=0x100 i=0x0 l=0x12 usb_core: GET_DESC 1 usb_core: DEVICE control start: t=0x80 r=0x6 v=0x200 i=0x0 l=0x9 usb_core: GET_DESC 2 usb_core: CONFIG/SPEED_CONFIG control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x303 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 3 control start: t=0x80 r=0x6 v=0x200 i=0x0 l=0xFF usb_core: GET_DESC 2 usb_core: CONFIG/SPEED_CONFIG control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x100 i=0x0 l=0x12 usb_core: GET_DESC 1 usb_core: DEVICE control start: t=0x80 r=0x6 v=0x200 i=0x0 l=0x9 usb_core: GET_DESC 2 usb_core: CONFIG/SPEED_CONFIG control start: t=0x80 r=0x6 v=0x200 i=0x0 l=0x5B usb_core: GET_DESC 2 usb_core: CONFIG/SPEED_CONFIG control start: t=0x0 r=0x9 v=0x1 i=0x0 l=0x0 usb_core: SET_CONFIG usbaudio: init connection usbaudio: set playback sampling frequency to 44100 Hz control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x21 r=0xA v=0x0 i=0x0 l=0x0 control start: t=0x81 r=0x6 v=0x2200 i=0x0 l=0xC5 control start: t=0x21 r=0x9 v=0x201 i=0x0 l=0x2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 control start: t=0x80 r=0x6 v=0x300 i=0x0 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 0 control start: t=0x80 r=0x6 v=0x302 i=0x409 l=0xFF usb_core: GET_DESC 3 usb_core: STRING 2 usbaudio: disconnect usb_core_exit() finished